-
Notifications
You must be signed in to change notification settings - Fork 1
/
Copy pathBluespecSystemVerilog.xml
64 lines (64 loc) · 7.49 KB
/
BluespecSystemVerilog.xml
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
<NotepadPlus>
<UserLang name="BlueSpec SystemVerilog" ext="bsv" udlVersion="2.1">
<Settings>
<Global caseIgnored="yes" allowFoldOfComments="yes" foldCompact="no" forcePureLC="0" decimalSeparator="0" />
<Prefix Keywords1="no" Keywords2="no" Keywords3="no" Keywords4="yes" Keywords5="no" Keywords6="no" Keywords7="yes" Keywords8="yes" />
</Settings>
<KeywordLists>
<Keywords name="Comments">00// 01 02 03/* 04*/</Keywords>
<Keywords name="Numbers, prefix1">0x</Keywords>
<Keywords name="Numbers, prefix2">0b 0d</Keywords>
<Keywords name="Numbers, extras1">ABCDEFabcdef</Keywords>
<Keywords name="Numbers, extras2"></Keywords>
<Keywords name="Numbers, suffix1"></Keywords>
<Keywords name="Numbers, suffix2"></Keywords>
<Keywords name="Numbers, range"></Keywords>
<Keywords name="Operators1"><- <= = ! & | + - * / :: ( ) < > ? [ ] #</Keywords>
<Keywords name="Operators2"></Keywords>
<Keywords name="Folders in code1, open">{</Keywords>
<Keywords name="Folders in code1, middle"></Keywords>
<Keywords name="Folders in code1, close">}</Keywords>
<Keywords name="Folders in code2, open">begin case action actionvalue function method module rule rules instance interface package typeclass class clocking config covergroup generate interface primitive program property sequence specify table task</Keywords>
<Keywords name="Folders in code2, middle"></Keywords>
<Keywords name="Folders in code2, close">end endcase endaction endactionvalue endfunction endmethod endmodule endrule endrules endinstance endinterface endpackage endtypeclass endclass endclocking endconfig endgroup endgenerate endinterface endprimitive endprogram endproperty endsequence endspecify endtable endtask</Keywords>
<Keywords name="Folders in comment, open">/*</Keywords>
<Keywords name="Folders in comment, middle"></Keywords>
<Keywords name="Folders in comment, close">*/</Keywords>
<Keywords name="Keywords1">Action ActionValue C CF SB SBR if iff else for foreach while do break return constraint context continue casex casez let match matches assert assert_strobe assign assume always always_comb always_ff always_latch before expect forever ancestor enable disable deriving bind parameter path design deassign default defparam port clocked_by default default_clock default_reset dependencies determines ifc_inout inout input_clock input_reset output_clock output_reset provisos reset_by same_family schedule tagged valueOf valueof cell chandle cmos nmos pmos cover coverpoint cross dist edge event extends extern final first_match force fork forkjoin genvar ifnone ignore_bins illegal_bins input incdir include macromodule matches medium modport negedge new notif0 notif1 initial inside intersect join join_any join_none large liblist library local noshowcancelled output posedge pure priority protected pulsestyle_onevent pulsestyle_ondetect rand randc randcase randsequence rcmos realtime ref release repeat rnmos rpmos rtran rtranif0 rtranif1 scalared super tagged var showcancelled signed small solve specparam this throughout time timeprecision timeunit tran unique use vectored virtual wait wait_order wand wildcard with within localparam</Keywords>
<Keywords name="Keywords2">delay await repeat Stmt</Keywords>
<Keywords name="Keywords3">alias enum type typedef union void struct bit byte bins binsof buf bufif0 bufif1 numeric automatic const int integer longint logic packed real reg shortint shortreal static string unsigned wire tri Reg Int Bool True False Eq Bits Empty UInt trireg</Keywords>
<Keywords name="Keywords4">$</Keywords>
<Keywords name="Keywords5">null highz0 highz1 pull0 pull1 pulldown pullup strong0 strong1 supply0 supply1 weak0 weak1 tri0 tri1 tranif0 tranif1 and nand nor or not wor xnor xor triand trior</Keywords>
<Keywords name="Keywords6"></Keywords>
<Keywords name="Keywords7">` export import BVI</Keywords>
<Keywords name="Keywords8">mk</Keywords>
<Keywords name="Delimiters">00" 01\ 02" 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 21 22 23</Keywords>
</KeywordLists>
<Styles>
<WordsStyle name="DEFAULT" fgColor="000000" bgColor="FFFFFF" fontName="Ɛ" fontStyle="0" nesting="0" />
<WordsStyle name="COMMENTS" fgColor="008000" bgColor="FFFFFF" fontName="䍄3翹" fontStyle="0" nesting="0" />
<WordsStyle name="LINE COMMENTS" fgColor="008000" bgColor="FFFFFF" fontName="" fontStyle="0" nesting="0" />
<WordsStyle name="NUMBERS" fgColor="FF0080" bgColor="FFFFFF" fontName="거笸ȇ" fontStyle="0" nesting="0" />
<WordsStyle name="KEYWORDS1" fgColor="0000FF" bgColor="FFFFFF" fontName="矶Ეԇ蠀거笸ȇ" fontStyle="1" nesting="0" />
<WordsStyle name="KEYWORDS2" fgColor="004080" bgColor="FFFFFF" fontName="" fontStyle="0" nesting="0" />
<WordsStyle name="KEYWORDS3" fgColor="8000FF" bgColor="FFFFFF" fontName="翹" fontStyle="0" nesting="0" />
<WordsStyle name="KEYWORDS4" fgColor="004080" bgColor="FFFFFF" fontName="芰笳ȇ" fontStyle="0" nesting="0" />
<WordsStyle name="KEYWORDS5" fgColor="004080" bgColor="FFFFFF" fontName="" fontStyle="0" nesting="0" />
<WordsStyle name="KEYWORDS6" fgColor="400080" bgColor="FFFFFF" fontName="짦ᰏᨇ蠀紐笳ȇ" fontStyle="0" nesting="0" />
<WordsStyle name="KEYWORDS7" fgColor="804040" bgColor="FFFFFF" fontName="0" fontStyle="1" nesting="0" />
<WordsStyle name="KEYWORDS8" fgColor="0080FF" bgColor="FFFFFF" fontName="0" fontStyle="0" nesting="0" />
<WordsStyle name="OPERATORS" fgColor="000080" bgColor="FFFFFF" fontName="0" fontStyle="1" nesting="0" />
<WordsStyle name="FOLDER IN CODE1" fgColor="000080" bgColor="FFFFFF" fontName="0" fontStyle="1" nesting="0" />
<WordsStyle name="FOLDER IN CODE2" fgColor="0000FF" bgColor="FFFFFF" fontName="ჰ笺ȇ" fontStyle="1" nesting="0" />
<WordsStyle name="FOLDER IN COMMENT" fgColor="008000" bgColor="FFFFFF" fontName="yes" fontStyle="0" nesting="0" />
<WordsStyle name="DELIMITERS1" fgColor="92756D" bgColor="FFFFFF" fontName="" fontStyle="0" nesting="67108864" />
<WordsStyle name="DELIMITERS2" fgColor="9C3D85" bgColor="FFFFFF" fontName="0" fontStyle="0" nesting="117702655" />
<WordsStyle name="DELIMITERS3" fgColor="000000" bgColor="FFFFFF" fontName="no" fontStyle="0" nesting="0" />
<WordsStyle name="DELIMITERS4" fgColor="000000" bgColor="FFFFFF" fontName="MRU" fontStyle="0" nesting="0" />
<WordsStyle name="DELIMITERS5" fgColor="000000" bgColor="FFFFFF" fontName="" fontStyle="0" nesting="0" />
<WordsStyle name="DELIMITERS6" fgColor="000000" bgColor="FFFFFF" fontName="" fontStyle="0" nesting="0" />
<WordsStyle name="DELIMITERS7" fgColor="000000" bgColor="FFFFFF" fontName="" fontStyle="0" nesting="0" />
<WordsStyle name="DELIMITERS8" fgColor="000000" bgColor="FFFFFF" fontName="硦ȇ" fontStyle="0" nesting="0" />
</Styles>
</UserLang>
</NotepadPlus>